=== tests/cases/compiler/collisionCodeGenModuleWithModuleChildren.ts === module M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithModuleChildren.ts, 6, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 15, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 24, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 33, 1)) export var x = 3; >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) module m1 { >m1 : Symbol(m1, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 21)) var M = 10; >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 3, 11)) var p = x; >p : Symbol(p, Decl(collisionCodeGenModuleWithModuleChildren.ts, 4, 11)) >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) } } module M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithModuleChildren.ts, 6, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 15, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 24, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 33, 1)) module m2 { >m2 : Symbol(m2, Decl(collisionCodeGenModuleWithModuleChildren.ts, 8, 10)) class M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 9, 15)) } var p = x; >p : Symbol(p, Decl(collisionCodeGenModuleWithModuleChildren.ts, 12, 11)) >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) var p2 = new M(); >p2 : Symbol(p2, Decl(collisionCodeGenModuleWithModuleChildren.ts, 13, 11)) >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 9, 15)) } } module M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithModuleChildren.ts, 6, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 15, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 24, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 33, 1)) module m3 { >m3 : Symbol(m3, Decl(collisionCodeGenModuleWithModuleChildren.ts, 17, 10)) function M() { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 18, 15)) } var p = x; >p : Symbol(p, Decl(collisionCodeGenModuleWithModuleChildren.ts, 21, 11)) >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) var p2 = M(); >p2 : Symbol(p2, Decl(collisionCodeGenModuleWithModuleChildren.ts, 22, 11)) >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 18, 15)) } } module M { // shouldnt be _M >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithModuleChildren.ts, 6, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 15, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 24, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 33, 1)) module m3 { >m3 : Symbol(m3, Decl(collisionCodeGenModuleWithModuleChildren.ts, 26, 10)) interface M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 27, 15)) } var p = x; >p : Symbol(p, Decl(collisionCodeGenModuleWithModuleChildren.ts, 30, 11)) >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) var p2: M; >p2 : Symbol(p2, Decl(collisionCodeGenModuleWithModuleChildren.ts, 31, 11)) >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 27, 15)) } } module M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithModuleChildren.ts, 6, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 15, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 24, 1), Decl(collisionCodeGenModuleWithModuleChildren.ts, 33, 1)) module m4 { >m4 : Symbol(m4, Decl(collisionCodeGenModuleWithModuleChildren.ts, 35, 10)) module M { >M : Symbol(M, Decl(collisionCodeGenModuleWithModuleChildren.ts, 36, 15)) var p = x; >p : Symbol(p, Decl(collisionCodeGenModuleWithModuleChildren.ts, 38, 15)) >x : Symbol(x, Decl(collisionCodeGenModuleWithModuleChildren.ts, 1, 14)) } } }