TypeScript/tests/baselines/reference/collisionCodeGenModuleWithMethodChildren.symbols
2015-04-15 16:44:20 -07:00

68 lines
2.8 KiB
Text

=== tests/cases/compiler/collisionCodeGenModuleWithMethodChildren.ts ===
module M {
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithMethodChildren.ts, 5, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 14, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 24, 1))
export var x = 3;
>x : Symbol(x, Decl(collisionCodeGenModuleWithMethodChildren.ts, 1, 14))
class c {
>c : Symbol(c, Decl(collisionCodeGenModuleWithMethodChildren.ts, 1, 21))
fn(M, p = x) { }
>fn : Symbol(fn, Decl(collisionCodeGenModuleWithMethodChildren.ts, 2, 13))
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 3, 11))
>p : Symbol(p, Decl(collisionCodeGenModuleWithMethodChildren.ts, 3, 13))
>x : Symbol(x, Decl(collisionCodeGenModuleWithMethodChildren.ts, 1, 14))
}
}
module M {
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithMethodChildren.ts, 5, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 14, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 24, 1))
class d {
>d : Symbol(d, Decl(collisionCodeGenModuleWithMethodChildren.ts, 7, 10))
fn2() {
>fn2 : Symbol(fn2, Decl(collisionCodeGenModuleWithMethodChildren.ts, 8, 13))
var M;
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 10, 15))
var p = x;
>p : Symbol(p, Decl(collisionCodeGenModuleWithMethodChildren.ts, 11, 15))
>x : Symbol(x, Decl(collisionCodeGenModuleWithMethodChildren.ts, 1, 14))
}
}
}
module M {
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithMethodChildren.ts, 5, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 14, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 24, 1))
class e {
>e : Symbol(e, Decl(collisionCodeGenModuleWithMethodChildren.ts, 16, 10))
fn3() {
>fn3 : Symbol(fn3, Decl(collisionCodeGenModuleWithMethodChildren.ts, 17, 13))
function M() {
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 18, 15))
var p = x;
>p : Symbol(p, Decl(collisionCodeGenModuleWithMethodChildren.ts, 20, 19))
>x : Symbol(x, Decl(collisionCodeGenModuleWithMethodChildren.ts, 1, 14))
}
}
}
}
module M { // Shouldnt bn _M
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 0, 0), Decl(collisionCodeGenModuleWithMethodChildren.ts, 5, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 14, 1), Decl(collisionCodeGenModuleWithMethodChildren.ts, 24, 1))
class f {
>f : Symbol(f, Decl(collisionCodeGenModuleWithMethodChildren.ts, 26, 10))
M() {
>M : Symbol(M, Decl(collisionCodeGenModuleWithMethodChildren.ts, 27, 13))
}
}
}